Теми рефератів
> Реферати > Курсові роботи > Звіти з практики > Курсові проекти > Питання та відповіді > Ессе > Доклади > Учбові матеріали > Контрольні роботи > Методички > Лекції > Твори > Підручники > Статті Контакти
Реферати, твори, дипломи, практика » Курсовые обзорные » Пошукове проектування моделі ПЛІС для побудови системи цифрової обробки сигналів

Реферат Пошукове проектування моделі ПЛІС для побудови системи цифрової обробки сигналів





КИХ. Лістинг VHDL моделі


library ieee;

use ieee.std_logic_1164.all; ieee.std_logic_unsigned.all; mem_unit is

(data_size: INTEGER: = 18; _size: INTEGER: = 20; _size: INTEGER: = 8; _count: INTEGER: = 64

); (clk: in std_logic; - загальні сигнали для модуля: in std_logic;: in std_logic;: in std_logic_vector (data_size - 1 downto 0); - вхід для

- децімірованного сігнала_rom: in std_logic_vector (adr_size - 1 downto 0); - адреса

- зчитування з ПЗП: in std_logic_vector (adr_size - 1 downto 0); - адреса запису в ОЗУ: in std_logic_vector (adr_size - 1 downto 0); - адреса зчитування

- з ОЗУ_rom: in std_logic; - сигнал зчитування з ПЗП: in std_logic; - сигнал запису в ОЗУ

do_rom: out std_logic_vector (coef_size - 1 downto 0); - вихід ПЗУ: inout std_logic_vector (data_size - 1 downto 0); - порт 1 ОЗУ (зп): inout std_logic_vector (data_size - 1 downto 0) - порт 2 ОЗУ (рах)

); mem_unit; beh of mem_unit isrom_type is array (0 to (2 ** adr_rom'length) -1) of std_logic_vector (do_rom'range); ram_type is array (0 to (2 ** adrA'length) -1) of std_logic_vector (doA'range); RAM: ram_type: = (others => (others => '0 ')); ROM: rom_type: = (X "FFF03", X "0008A" ;, X "002B7", X "00461"); D: std_logic_vector (data_size - 1 downto 0): = (others => '0 '); - проміжний сигнал для роботи ОЗУread_adrA, read_adrB: std_logic_vector (adr_size - 1 downto 0); - проміжні сигнали адрес зчитування та запису (clk) - опис роботи ПЗУ

begin (rising_edge (clk)) then (rst = '1 ') then_rom <= (others => '0'); (en = '1 ') then (rd_rom = '1 ') then_rom <= ROM (conv_integer (adr_rom)); if; if; if; process;: process (en, clk) - опис роботи 2х портового ОЗУ

begin (rising_edge (clk)) then (en = '1 ') thenwr1 = '1' then (conv_integer (read_adrB)) <= D; if; if; if; process C1; 2 : process (en, clk) - защелкивание адрес всередині пам'яті

begin (rising_edge (clk)) then (en = '1 ') then_adrA <= adrA; _adrB <= adrB; if; if; process C2; <= RAM (conv_integer (read_adrA )); - призначення обох портів <= RAM (conv_integer (read_adrB)); <= adc when conv_integer (read_adrB) = 0 else doA; - мультиплексор,

- керований адресомbeh;

------------------------------------------ ------------- CU IS (_size: INTEGER: = 7; _count: INTEGER: = 64

);

(: IN STD_LOGIC;: IN STD_LOGIC;: IN STD_LOGIC; 1: OUT STD_LOGIC; - вхідний сигнал для формування сигналу читання на ПЗУ: OUT STD_LOGIC; - вхідний сигнал для формування сигналу читання на ОЗП

en_out: OUT STD_LOGIC; _sum: OUT STD_LOGIC; _A: INOUT STD_LOGIC_VECTO...


Назад | сторінка 23 з 26 | Наступна сторінка





Схожі реферати:

  • Реферат на тему: Способи запису інформації на вінчестер, головки читання-запису
  • Реферат на тему: Особливості запису сигналів зображення
  • Реферат на тему: Проектування генератора, що формує аналоговий сигнал
  • Реферат на тему: Організації шини IEEE 1394 - FireWire
  • Реферат на тему: Пристрій перетворення аналогових сигналів двійковий код і його перетворення ...