Теми рефератів
> Реферати > Курсові роботи > Звіти з практики > Курсові проекти > Питання та відповіді > Ессе > Доклади > Учбові матеріали > Контрольні роботи > Методички > Лекції > Твори > Підручники > Статті Контакти
Реферати, твори, дипломи, практика » Курсовые обзорные » Реалізація n-бітного множення на Spartan 3E Kit з використанням апаратних умножителей

Реферат Реалізація n-бітного множення на Spartan 3E Kit з використанням апаратних умножителей





ustify"> 5.2 Реалізація і програмування

Висновки

Перелік посилань

Додаток А

Додаток Б

Додаток B


ВСТУП

апаратний помножувач проектування

Поява програмованої логіки позначило новий напрямок у проектуванні цифрової апаратури. Тепер результатом проектування став опис конфігурації логічних блоків і комірок в FPGA і CPLD і зв'язків між ними. Саме оптимальність схемного опису визначає кінцеві показники швидкодії проекту та займану площу кристала FPGA/CPLD, а також час виконання самого етапу розміщення і трасування. Завдання систем синтезу - це створення схемних описів, максимально підготовлених до етапу розміщення та трасування. p align="justify"> У даній роботі докладно розглядається функціонування вбудованих умножителей 18х18, які прискорюють DSP логіку (відключення пристрою) в сімействі Spartan в„ў -3. Множники є швидкими та ефективними при здійсненні знакового або беззнакового множення до 18 бітів. Крім основних функцій множення, вбудований блок помножувач може використовуватися як зрушує пристрій, або як генератор числа, або повертати значення з додатковим кодом. Множники можуть бути розташовані каскадом один до одного, або як CLB логіка для великих або більш складних функцій. p align="justify"> Пристрої Spartan-3A платформи DС включають високоефективні DSP48A блоки, які сумісні з архітектурою Virtex В® -4 FPGA DSP48. в Spartan-3 має безліч особливостей, щоб зміцнити арифметичні можливості чіпа. Логічний перенесення і спеціалізована (виділена) маршрутизація перенесення забезпечується так само, як і в минулих поколіннях. Спеціалізований (виділений) вентиль В«ІВ» в CLB блоках прискорюють операції множення масиву. Самим новим і найбільш істотним додаванням є спеціалізований 18x18 блок множника з двійковим доповненням. При залученні від 4 до 104 з цих спеціалізованих множників в кожному пристрої, швидкі арифметичні функції можуть бути здійснені з мінімальним використанням ресурсів загального призначення. На додаток до переваги роботи, спеціалізовані (виділені) множники вимагають меншої потужності, ніж множники, засновані на CLB. Легко імплементуються додатки типу знакова - знакова множення, знакова - без знаку множення, без знака - без знаку множення, логічні, арифметичні, пристрої з циклічним зрушенням, додатковий код і повернення величини. p align="justify"> У даному курсовому проекті була описана реалізації апаратного помножувача і його робота на прикладі множення n-бітових чисел.


1 Технічне завдання


Технічне завдання (ТЗ) на проектування повинно містити вичерпну і однозначну інформацію про вимоги, що пред'являються до проекту. Технічне завдання зазвичай об'ємний документ, в якому на природній мові описана, по суті, словесна модель проектованої системи. Незваж...


Назад | сторінка 2 з 22 | Наступна сторінка





Схожі реферати:

  • Реферат на тему: Розробка обчислювального пристрою для виконання операції множення двійкових ...
  • Реферат на тему: Розробка обчислювального пристрою для виконання операції множення двійкових ...
  • Реферат на тему: Вивчення паралельних методів решение Завдання матричного множення
  • Реферат на тему: Алгоритм Виконання Операції множення чисел в прямому коді
  • Реферат на тему: Пристрій множення двійкових чисел