Теми рефератів
> Реферати > Курсові роботи > Звіти з практики > Курсові проекти > Питання та відповіді > Ессе > Доклади > Учбові матеріали > Контрольні роботи > Методички > Лекції > Твори > Підручники > Статті Контакти
Реферати, твори, дипломи, практика » Курсовые обзорные » Реалізація n-бітного множення на Spartan 3E Kit з використанням апаратних умножителей

Реферат Реалізація n-бітного множення на Spartan 3E Kit з використанням апаратних умножителей





аючи на суворість і точність формулювань ТЗ не дає однозначного опису об'єкта проектування і не дозволяє безпосередньо переходити від опису функціонування системи до її технічного втілення. Основні вимоги до проектування: використання стандартних вільно розповсюджуваних або ліцензійних САПР, опис моделей за допомогою Яоа будь-якого типу, використання тестового підходу до перевірки справності моделі пристрою. Спосіб перевірки: програмне моделювання тестів на всіх етапах аж до фізичної реалізації на кристалі; далі фізичне моделювання. br/>

1.1 Призначення пристрою


Вбудовані множники пропонують швидкий, ефективний спосіб створювати знаковий 18-розрядний результат у 18-розрядному пристрої множення. Блоки множника діляться розподіленими ресурсами з блоком пам'яті SelectRAM в„ў, дозволяючи збільшувати ефективність для багатьох додатків. Каскадування умножителей може бути імплементовано з додатковими логічними ресурсами в локальному секторі Spartan -3. br/>

1.2 Вимоги до реалізації


Специфікація - часто усне або графічне опис поведінки схеми. Це опис необхідно інтерпретувати для побудови діаграми станів або таблицю станів як перший крок у циклі проектування пристрою. p align="justify"> Терміни проектування визначаються термінами виконання курсового проекту і становлять від 1 до 2 місяців, включаючи розробку документації. Проект вважається закінченим, якщо проведено його фізичне моделювання і результати моделювання на одних і тих же тестових наборах збігаються з результатами програмного (математичного) моделювання. p align="justify"> Інструментальні засоби синтезу високого рівня зазвичай автоматично виводять спеціалізований помножувач для універсальної операції множення в VHDL або Verilog. Щоб більша кількість користувачів мало можливість використовувати і керувати спеціальні можливості помножувача, потрібно застосувати в проекті систему CORE Generator. 18x18-розрядні помножувачі можуть бути швидко створені при використанні системи CORE Generator в„ў, або вони можуть бути застосовані за допомогою VHDL або Verilog. p align="justify"> Так само мають місце обмеження місця розташування. Зразки вбудованого множника MULT18X18 можуть мати LOC властивості, додані до них для скорочення займаного місця. Розміщення MULT18X18 відрізняється від угоди, використовуваного для розташування CLB, дозволяючи LOC властивостям легко переміщатися від масиву до масиву. LOC властивості мають наступний вигляд:

= MULT18X18_X * Y * (1.1)


Наприклад, MULT18X18_X0Y0 - знаходиться в лівому нижньому кутку MULT18X18 на пристрої.

У роботі 18x18-розрядний помножувач використовується для множення двох 4-х розрядних чисел із знаком і без знаку.

1.3 Вхідні/вихідні параметри

...


Назад | сторінка 3 з 22 | Наступна сторінка





Схожі реферати:

  • Реферат на тему: Розробка обчислювального пристрою для виконання операції множення двійкових ...
  • Реферат на тему: Розробка обчислювального пристрою для виконання операції множення двійкових ...
  • Реферат на тему: Моделювання замкнутої САР програмним методом і за допомогою системи імітаці ...
  • Реферат на тему: Алгоритм Виконання Операції множення чисел в прямому коді
  • Реферат на тему: Створення моделі і моделювання елементів дискретного пристрою