Теми рефератів
> Реферати > Курсові роботи > Звіти з практики > Курсові проекти > Питання та відповіді > Ессе > Доклади > Учбові матеріали > Контрольні роботи > Методички > Лекції > Твори > Підручники > Статті Контакти
Реферати, твори, дипломи, практика » Курсовые обзорные » Реалізація n-бітного множення на Spartan 3E Kit з використанням апаратних умножителей

Реферат Реалізація n-бітного множення на Spartan 3E Kit з використанням апаратних умножителей





="justify"> (7 downto 0) <= P_int (7 downto 0);


-Multiplier Instantiation_MULT18X18: MULT18X18map (

A => A_int (17 downto 0),

B => B_int (17 downto 0),

P => P_int (35 downto 0)

);

mult4x4_u_arch;


raspinovki.ucf


NET "clk" LOC = "C9" | IOSTANDARD = LVCMOS33;

"P <7>" LOC = "F9" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8; "P <6>" LOC = "E9" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8; "P <5>" LOC = "D11" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8; "P <4>" LOC = " ; C11 "| IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8;" P <3> "LOC =" F11 "| IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8;" P <2> " ; LOC = "E11" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8; "P <1>" LOC = "E12" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8; "P < ; 0> "LOC =" F12 "| IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8;

"A <0>" LOC = "L13" | IOSTANDARD = LVTTL | PULLUP; "A <1>" LOC = "L14" | IOSTANDARD = LVTTL | PULLUP; "A <2>" LOC = "H18" | IOSTANDARD = LVTTL | PULLUP; "A <3>" LOC = "N17" | IOSTANDARD = LVTTL | PULLUP;

"load" LOC = "H13" | IOSTANDARD = LVTTL | PULLDOWN; "rst" LOC = "D18" | IOSTANDARD = LVTTL | PULLDOWN;


ДОДАТОК В


Структурно-функціональне уявлення пристрої

В 


Назад | сторінка 22 з 22





Схожі реферати:

  • Реферат на тему: Оцінка вартості автомобіля Lada Kalina, пилорами Р63-4Б і пральної машини L ...
  • Реферат на тему: Реалізація пристрої автоматичного поливу грунту
  • Реферат на тему: Реалізація діяльнісного підходу в навчанні географії з використанням косміч ...
  • Реферат на тему: Створення та реалізація проектів декоративних городів з використанням овоче ...
  • Реферат на тему: Реалізація компілятора усіченого мови Pascal з використанням технології авт ...