Теми рефератів
> Реферати > Курсові роботи > Звіти з практики > Курсові проекти > Питання та відповіді > Ессе > Доклади > Учбові матеріали > Контрольні роботи > Методички > Лекції > Твори > Підручники > Статті Контакти
Реферати, твори, дипломи, практика » Курсовые обзорные » Реалізація n-бітного множення на Spartan 3E Kit з використанням апаратних умножителей

Реферат Реалізація n-бітного множення на Spartan 3E Kit з використанням апаратних умножителей





gn="justify">-mult4x4_s is (

A: in std_logic_vector (3 downto 0);: in std_logic_vector (3 downto 0); : out std_logic_vector (7 downto 0)

); mult4x4_s;

-mult4x4_s_arch of mult4x4_s is

-

- Components Declarations:

- MULT18X18 (

A: in std_logic_vector (17 downto 0);

B: in std_logic_vector (17 downto 0);

P: out std_logic_vector (35 downto 0)

); component;

-

A_int: std_logic_vector (17 downto 0); B_int: std_logic_vector (17 downto 0); P_int: std_logic_vector (35 downto 0);

-

_int (17 downto 8) <= "0000000000"; _int (7 downto 7) <= A (3 downto 3); _int (6 downto 6) <= A (3 downto 3); _int (5 downto 5) <= A (3 downto 3); _int (4 downto 4) <= A (3 downto 3); _int (3 downto 0) <= A (3 downto 0 ); _int (17 downto 8) <= "0000000000"; _int (7 downto 7) <= B (3 downto 3); _int (6 downto 6) <= B (3 downto 3); _int ( 5 downto 5) <= B (3 downto 3); _int (4 downto 4) <= B (3 downto 3);

_int (3 downto 0) <= B (3 downto 0);

(7 downto 0) <= P_int (7 downto 0);


-Multiplier Instantiation_MULT18X18: MULT18X18map (

A => A_int (17 downto 0),

B => B_int (17 downto 0),

P => P_int (35 downto 0)

);

mult4x4_s_arch;

ucf.ucf


NET "clk" LOC = "C9" | IOSTANDARD = LVCMOS33;

"P <7>" LOC = "F9" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8; "P <6>" LOC = "E9" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8; "P <5>" LOC = "D11" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8; "P <4>" LOC = "C11" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8; "P <3> ; "LOC =" F11 "| IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8;" P <2> "LOC =" E11 "| IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8;" ; P <1> "LOC =" E12 "| IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8;" P <0> "LOC =" F12 "| IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8;

"A <0>" LOC = "L13" | IOSTANDARD = LVTTL | PULLUP; "A <1>" LOC = "L14" | IOSTANDARD = LVTTL | PULLUP; "A <2>" LOC = "H18" | IOSTANDARD = LVTTL | PULLUP; "A <3>" LOC = "N17" | IOSTANDARD = LVTTL | PULLUP;

"load" LOC = "H13" | IOSTANDARD = LVTTL | PULLDOWN; "rst" LOC = "D18" | IOSTANDARD = LVTTL | PULLDOWN

ДОДАТОК Б


Лістинг коду реалізації 4-х розрядного множення чисел без знаку з використанням спеціалізованого вбудованого блоку помножувача.


Sor...


Назад | сторінка 20 з 22 | Наступна сторінка





Схожі реферати:

  • Реферат на тему: Реалізація компілятора усіченого мови Pascal з використанням технології авт ...
  • Реферат на тему: Створення та реалізація проектів декоративних городів з використанням овоче ...
  • Реферат на тему: Реалізація діяльнісного підходу в навчанні географії з використанням косміч ...
  • Реферат на тему: Реалізація за допомогою програми внутрішньої роботи цифрових елементів з ви ...
  • Реферат на тему: The positive image as are important component of being competitive on the t ...